Skip to content

VHDL: fix some highlighting and folding errors

Jonathan Poelen requested to merge jpoelen/syntax-highlighting:vhdl into master
  • fix name match of some endRegion
  • fix some inversion between Control, Keyword and Redirection styles
  • fix highlighting of the name on when name
  • fix if in if/for ... generate
  • add elsif/else with if ... generate
  • add folding on generic/port maps
  • add style in type definition

Merge request reports